加入收藏 | 设为首页 | 会员中心 | 我要投稿 | RSSRSS-巴斯仪表网
您当前的位置:首页 > 电子发烧 > EDA技术
    让Verilog仿真状态机时可以显示状态名 关注:
    2013-08-27
    让Verilog仿真状态机时可以显示状态名
    Situation: 我们平时使用Verilog进行状态机编码时,通常使用parameter对状态名进行定义,这样写Case语句的时候就不会对这一串10摸不着头脑。可是通常这样做的话,在Modelsim里看到的还是一串10,使排错非常困难,特别是在用On......
    利用有限状态机控制A/D采样 关注:
    2013-08-27
    利用有限状态机控制A/D采样
    1 状态机的基本结构和功能
    状态机是一类很重要的时序电路,是许多数字电路的核心部件。状态机的一般形式如图1所示。除了输入信号、输出信号外,状态机还包括一组寄存器,它用于记忆状态机的内部状态。状态机寄存器的......
    Xilinx DCM的使用 关注:
    2013-08-27
    Xilinx DCM的使用
    目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以......
    如何计算FPGA设计频率 关注:
    2013-08-27
    如何计算FPGA设计频率
    我们的设计需要多大容量的芯片?我们的设计能跑多快?这是经常困扰工程师的两个问题.对于前一个问题,我们可能还能先以一个比较大的芯片实现原型,待原型完成再选用大小合适的芯片实现.对于后者,我们需要一个比较精......
    CPLD打乒乓球游戏电路仿真设计 关注:
    2013-08-27
    CPLD打乒乓球游戏电路仿真设计
    一、 实验目的
    (1) 学习用MAX+Plus II开发系统对可编程逻辑器件编程的方法;
    (2) 学习“CPLD/FPGA数字发展实验箱”的使用方法以及把文件下载到CPLD芯片中的方法。二、 仿真电路设计及设计思路
    仿真电路图如......
    FPGA实现信号延时的方法 关注:
    2013-08-27
    FPGA实现信号延时的方法
    FPGA实现信号延时的方法汇总:
    1、门延时数量级的延时(几个ns),可用逻辑门来完成,但告诉综合器不要将其优化掉(不精确,误差大,常常不被推荐)。比如用两个非门(用constraint 来告诉synthesizer 不要综合掉这些逻辑)。
    2、使用de......
    ISE仿真中需要注意的问题 关注:
    2013-08-27
    ISE仿真中需要注意的问题
    在我们团队负责的项目光栅传感器系统高频并行解调算法的FPGA实现中,需要直接频率合成模块,因此我们想在FPGA上实现一个DDS芯片的功能,最近在BASYS板上调通了DDS模块,在功能仿真过程中我们发现在ISE环境下编写VHDL时......
    基于MATLAB 的数字滤波器性能分析 关注:
    2013-08-27
    基于MATLAB 的数字滤波器性能分析
    近年来,随着MATLAB的功能日益便捷和完善,信号处理以及数字滤波器设计得到了广泛应用.比如基础的IIR(无限长响应)滤波器和FIR(有限长响应)滤波器都由以前的传统解析设计向程序开发、函数调用,甚至直接使用面向对象的G......
    基于NIOS II的高速嵌入式指纹识别系统 关注:
    2013-08-27
    基于NIOS II的高速嵌入式指纹识别系统
    1 引言
    指纹识别作为生物特征识别的一种,在身份识别上有着其他识别方法不可比拟的优越性:指纹具有唯一性、不可复制且便于采集等优点;随着指纹传感器性价比的提高。指纹的采集也相对容易。指纹识别技术是通过分析指......
    FPGA三国志-第一篇/不可不看的故事 关注:
    2013-08-27
    FPGA三国志-第一篇/不可不看的故事
    CPLD的时代
    我在12年前,偶然接触PLD,没有想到自己居然就在这个行当里安身下来。可是这个行业也的确是个飞速发展的行业,十多年过去后,从当初的接近十家主要供应商,到今天已经激烈搏杀后,只有差不多如论坛题目一样的,成......
    FPGA三国志-第二篇/结构篇 关注:
    2013-08-27
    FPGA三国志-第二篇/结构篇
    Altera FPGA的速度没有Xilinx的速度快,错!当然这种非对称的结构,你必须有一定的了解,才可以更好的利用.也就是要遵循: 大的数据吞吐通道应该采用横向放置规划! 控制通路采用纵向放置规划! Altera至此......
    FPGA三国志-第三篇/SOPC篇 关注:
    2013-08-27
    FPGA三国志-第三篇/SOPC篇
    PLD的重新定义PLD是什么,Programmable Logic Device. 当然今天应该换个称呼了:Processor+ Logic+DSP了,世界就是这样不停的变化来维持他的稳定。
    表1列出了Altera®可编程解决方案的主要历史创新发展过程。,......
    FPGA三国志-第四篇/工具篇 关注:
    2013-08-27
    FPGA三国志-第四篇/工具篇
    FPGA的公司实际上换而言之,也可以成为EDA的公司了,只是他们在这方面的能力是否强大而已.这3家公司中,拥有自己HDL的公司只有Altera.可能很多人不太清楚,就是AHDL,当然这种语言的辉煌期已经过去了.这里说这个,绝......
栏目导航->EDA技术
  • 电子应用基础
  • 电源技术
  • 无线传输技术
  • 信号处理
  • PCB设计
  • EDA技术
  • 单片机学习
  • 电子工具设备
  • 技术文章
  • 精彩拆解欣赏
  • 推荐资讯
    最后更新
    热门点击
    1. ModelSim SE仿真Altera库的一些问题
    2. 从实例中学习OrCAD-PSpice 10.3-AA(第4章灵
    3. ISE 详细步骤、作用及其理解
    4. 20 分钟建立一个NIOS II 开发环境
    5. SAA7111初始化的FPGA设计
    6. 基于MATLAB 的DSP 调试方法
    7. MultiSIM 电子仿真软件使用技巧
    8. 利用Multisim 10中的MCU模块进行单片机协同
    9. modelsim学习笔记
    10. 怎样在NIOSII中操作PIO?