加入收藏 | 设为首页 | 会员中心 | 我要投稿 | RSSRSS-巴斯仪表网
您当前的位置:首页 > 电子发烧 > EDA技术
    IBIS学习笔记 关注:
    2013-08-27
    IBIS学习笔记
    IBIS(Input/Output Buffer Information Specification)模型是基于V/I曲线的对I/O Buffer快速准确建模的方法,其目的是提供一种集成电路制造商与仿真软件供应商以及设计工程师之间相互交换电子元件仿真数据的标准......
    怎样在NIOSII中操作PIO? 关注:
    2013-08-27
    怎样在NIOSII中操作PIO?
    运用NIOS II_IDE向导,在niosII_cyclone_1c20small下新建一个hello_led工程。
    其中hello_led.c是这样写IO口的:
    IOWR_ALTERA_AVALON_PIO_DATA(LED_PIO_BASE, led);
    首先在altera_avalon_pio_regs.h找到定义
    代码 #in......
    modelsim仿真学习笔记 关注:
    2013-08-27
    modelsim仿真学习笔记
    1、 仿真的目的:
    在软件环境下,验证电路的行为和设想中的是否一致。2、 仿真的分类:
    a) 功能仿真:在RTL层进行的仿真,其特点是不考虑构成电路的逻辑和门的时间延迟,着重考虑电路在理想环境下的行为和设计构想的一致性;
    b)......
    modelsim仿真ROM 关注:
    2013-08-27
    modelsim仿真ROM
    一、主要环境参数是:
    modelsim SE 6.1b+Quartus II 5.1 SP2
    HDL 是verilog。
    器件是Stratix II EP2S90F1020
    采用两种方法设计ROM,ROM的容量是1000×2×16bit。因为需要COS 和SIN 两种数据。
    第一种采用Alte......
    Modelsim简明使用指南 关注:
    2013-08-27
    Modelsim简明使用指南
    1 前言
    作为一种简单易用,功能强大的逻辑仿真工具,Modelsim具有广泛的应用。这里对ModelSim作一个入门性的简单介绍。首先介绍ModelSim的代码仿真,然后介绍门级仿真和时序验证。2 代码仿真
    在完成一个设计的代......
    基于单片机P89C61X2的FPGA配置 关注:
    2013-08-27
    基于单片机P89C61X2的FPGA配置
    大部分FPGA采用基于SRAM(静态随机存储器)的查找表逻辑形成结构,就是用SRAM构成逻辑函数发生器。SRAM工艺的芯片在掉电后信息就会丢失,需要外加一片专用配置芯片。在上电时,由这个专用配置芯片把数据加载到FPGA中,然......
    FPGA设计经验之边沿检测 关注:
    2013-08-27
    FPGA设计经验之边沿检测
    在同步电路设计中,边沿检测是必不可少的!
    例如:在一个时钟频率16MHz的同步串行总线接收电路里,串行总线波特率为1Mbps。在串行总线的发送端是在同步时钟(1MHz)的上升沿输出数据,在接收端在同步时钟的下降沿对输入......
    testbench书写过程 关注:
    2013-08-27
    testbench书写过程
    各种文件的说明: Netlist Files:HDL code合成後出的.v,或是比路跑HSPICE的.sp Stimulus Files:HDL的testbench.vvalue change dump成.vcd,.vcd再VTRAN成vector file (.vec)
    .vec 是用netl......
    怎样写testbench 关注:
    2013-08-27
    怎样写testbench
    本文的实际编程环境:ISE 6.2i.03
    ModelSim 5.8 SE
    Synplify Pro 7.6
    编程语言 VHDL
    在ISE 中调用ModelSim 进行仿真一、 基本概念和基础知识
    Testbench 不仅要产生激励也就是输入,还要验证响应也就是输出。当然也......
    基于FPGA的IIR数字滤波器的快捷设计 关注:
    2013-08-27
    基于FPGA的IIR数字滤波器的快捷设计
    IIR数字滤波器在很多领域中都有着广阔的应用。与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,而且所用存储单元少。经济效率高。一个N阶IIR数字滤波器的系统函数为:

    其线性常系数差分......
    基于MATLAB和Quartus II的FIR滤波器设计与仿真 关注:
    2013-08-27
    基于MATLAB和Quartus II的FIR滤波器设计与仿真
    在现代数字系统中,FPGA(现场可编程门阵列)以计算机为开发平台,经过设计输入、仿真、测试和校验,直至达到预期结果。本文使用MathWorks公司的MATLAB软件和Altera公司的FPGA开发软件Quartus II进行FIR滤波器的设计仿......
    Cadence产品的介绍 关注:
    2013-08-27
    Cadence产品的介绍
    Cadence公司是一家eda软件公司。成立于1988年。其主要产品线从上层的系统级设计到逻辑综合到低层的布局布线,还包括封装、电路版pcb设计等等多个方向。下面主要介绍其产品线的范围。1、板级电路设计系统。
    ......
    Xilinx ISE 使用入门 关注:
    2013-08-27
    Xilinx ISE 使用入门
    1、ISE的安装
    现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。
    1)系统配置要求
    ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综......
栏目导航->EDA技术
  • 电子应用基础
  • 电源技术
  • 无线传输技术
  • 信号处理
  • PCB设计
  • EDA技术
  • 单片机学习
  • 电子工具设备
  • 技术文章
  • 精彩拆解欣赏
  • 推荐资讯
    最后更新
    热门点击
    1. ModelSim SE仿真Altera库的一些问题
    2. 从实例中学习OrCAD-PSpice 10.3-AA(第4章灵
    3. ISE 详细步骤、作用及其理解
    4. 20 分钟建立一个NIOS II 开发环境
    5. SAA7111初始化的FPGA设计
    6. 基于MATLAB 的DSP 调试方法
    7. MultiSIM 电子仿真软件使用技巧
    8. 利用Multisim 10中的MCU模块进行单片机协同
    9. modelsim学习笔记
    10. 怎样在NIOSII中操作PIO?