加入收藏 | 设为首页 | 会员中心 | 我要投稿 | RSSRSS-巴斯仪表网
您当前的位置:首页 > 电子发烧 > EDA技术

Libero 软件的使用

时间:2013-08-27  来源:123485.com  作者:9stone

第1章 License 设置
    大家好!今天由我来给大家介绍一下Libero 软件的快速入门。
    Libero 软件是Actel FPGA 的开发环境,它支持Actel 公司所有的FPGA 芯片。在安装完Libero 软件后,要设置license 才能够正常的使用Libero 软件。license 文件的申请途径有两种:一是登录Actel 公司的官方网站(www.Actel.com)去申请;另一种是发送邮件到周立功公司当地的FAE,让他帮您去申请。我们的license 文件是完全免费的,它的使用期限是一年,一年后您可以重新申请新的license。
    接下来,我就给大家演示一下怎样设置license。首先,在C 盘Actel 文件夹下新建一个名为license 的文件夹,将您所申请到的license 文件放入到该文件夹下;然后,在桌面我的电脑图标上单击右键选择属性,在高级选项里找到环境变量,在用户变量里,选择新建按钮,在变量名中输入LM_LICENSE_FILE,在变量值中输入license 文件保存的路径。在这里要注意:一定要确定变量名和变量值的正确性,否则Libero 软件将无法正常使用。点击确定按钮,好了,license 文件已经设置完成。

第2章 Libero 软件的使用
    下面我将用一个具体的例程来给大家演示一下Libero 软件的使用流程。在桌面双击Libero 8.3 的图标,进入到软件的使用界面。
2.1 新建工程
1. 建立工程
    单击软件左上角的Project 菜单,选择New Project 选项,会弹出一个如图所示的窗口,在Project name 中输入工程名led,Project Location 是存放工程的位置,点击Browse 按钮可以改变工程存放的位置,下面的两个选项是选择编程的语言,这里我们选择Verilog,当所有设置都完成后,点击Next。
2. 选择器件
    点击Family 的下拉按钮,选择FPGA 的系列,这里我们选用ProASIC3 系列,器件类型选择A3P030,封装采用VQFP100,点击Next。
3. 选择工具
    在这里可以修改不同阶段使用的工具,点击相应的工具,然后通过Add、Edit 或Remove来修改,若显示问号表示没有该软件。这里我们点击Next。
4. 添加文件
    可以在文件夹中添加相应类型的文件,由于工程是新建的,不存在有编辑好的文件,所以我们直接点击 Next。
5. 完成
    查看您刚才所建工程的信息,确认无误后点击“Finish”,这样,该工程就建立好了。

2.2 设计输入
    设计输入有多种方式,这里我们采用最常用的输入方法——HDL 语言生成;点击HDLEditor 进行语言编辑,在name 里输入文件名led,最好和工程名相同。点击OK 按钮,进入语言编辑区。
    打开实验教程把快速入门程序复制过来。点击Libero 软件左上角的保存按钮,保存后我们可以在work 目录下看到led.v 文件。单击右键,选择Check HDL file,检查语法错误。从信息窗口中可以看到没有语法错误。这里要注意的是,计数器必须要初始化,否则仿真的时候没有波形。该程序完成流水灯的功能。

2.3 生成测试文件
    生成测试文件有两种方式,一是用语言编写测试文件;二是通过波形生成测试文件,这里采用第二种方式。点击 WaveFormer,右击clk_48M 信号,选择该引脚为时钟引脚。双击clk_48M,点击Clock Properties 修改时钟的频率为48M,点击OK。下面我们设置复位引脚,我们的程序是低电平复位,所以我们设置一段时间的低电平。设置完复位以后,我们要设置断点,到这个时刻仿真自动结束。点击菜单栏上的Marker按钮,将该项置为有效。我们选择300ms;在300ms 处,我们先左击后右击出现Marker0,双击Marker0,选择Type 下拉菜单中的End Diagram,点击OK。这样断点设置完毕。下面点击左上角的保存按钮,保存测试激励文件。在弹出的保存界面中,我们选择保存类型为最后一项。这样,我们就完成了测试激励文件的生成。关闭WaveFormer。弹出的界面提示是否保存波形图形,我们选择Save all,在弹出的波形保存格式中,我们选择默认,点击保存。这样,我们的测试文件就建立好了。
    下面就是添加测试文件进行仿真,右击Stimulus Editor, 选择Organize Stimulus,在弹出的界面中,把该测试文件导入,点击OK,这样就添加完激励。添加完激励以后Stimulus Editor和WaveFormer 变为绿色。

2.4 前仿真
    点击ModelSim 进入仿真界面,点击新窗口查看波形。输入需要仿真的时间,这里我们输入100ms,点击全速运行,由于仿真时间设置过长,我们也可自行终止仿真。点击深蓝色的查看图标,我们可以全屏查看波形,通过观察,我们发现输出是移位变化的,这说明功能是正确的。功能被验证正确以后,我们关闭ModelSim。

2.5 综合
    功能仿真是正确的时候,下面要进行的是综合,点击Synthesis,Libero 软件将会调用Synplify 软件进行综合。
    在综合的界面弹出时,点击“RUN”按钮开始综合,如果在综合过程中出现错误,则可点击界面下方“View Log”查看错误的报告。综合之后发现0 个错误0 个警告,说明综合是正确的,关闭 Synplify。

2.6 综合后仿真
    综合后我们可以再次通过 ModelSim 进行综合后仿真,看功能是否仍符合要求。单击ModelSim,仿真激励会被自动加载,这里操作和功能仿真差不多,在这我就不再赘述。

2.7 布局布线
    完成综合后仿真之后,我们就可以进行布局布线。点击Place&Route。9s,当第一次进入Designer 界面时需要进行一些设置的,一般情况我们将速度等级、参考电压等设为默认,点击下一步;电平标准设为LVTTL,点击下一步;在选择芯片的等级时,我们选择商业级,点击完成,进入Designer 的主界面。
    Designer 的主界面主要包括:编译、引脚分配、布局布线、生成下载文件;还有一些关于功耗分析、时钟约束和分析的工具。点击Compile,在弹出的界面中点击OK。当编译的图标变为绿色的时候,表示编译通过。编译结束以后,点击Tools,选择reports—>status;导出status report。在这里,我们可以看到编译报告。点击I/O Attribute Editor 进行引脚分配。对照引脚分配说明分配好引脚。在信
息栏中,可以看到引脚分配没有错误,关闭引脚分配。点击Layout,在弹出的界面点击OK,当Layout 变绿的时候,表明布局布线正确。点击Back-Annotate 生成反标注文件,反标注文件主要是标注FPGA 厂家器件的延时信息。在弹出的界面中点击OK,当Back-Annotate 变绿后反标注文件生成。点击Programming File 生成下载文件。在弹出的界面中,security settings 可以对芯片和文件进行加密。点击FlashROM 的话,我们可以导入下载到FlashROM 的文件。这里两项都不选择,点击Finish,在弹出的界面中点击Generate 生成下载文件。完成我们可以发现Programming File 图标变绿。点击Timing analyzer 查看时序分析报告;在分析报告里面有系统所能跑的最大时钟频率和最小时钟频率等信息。关闭Timing analyzer。点击保存按钮,关闭designer.

2.8 下载文件
    在刚才我们设置了反标注文件,这样我们就可以布局布线后仿真了,该仿真和综合前仿真是一样的,这里就不再验证。点击FlashPro 进行下载,选择tool,在下拉选项中选择“Connect Parallel port cable”查看并口信息。在弹出的界面中我们选择默认选项,点击OK 即可。点击“Refresh for Programmers”查找并口,发现并口已经存在。点击programmer进行下载,由于我们的FPGA 是FLASH 架构的,所以下载时间要长一点,当Programmer Status 栏提示信息是Run passed,说明下载完毕,这时可以在开发板上看到LED 在循环移位点亮,说明该程序完成了设计的功能。

    这就是整个Libero 软件的使用流程,谢谢大家!


分享到:
来顶一下
返回首页
返回首页
发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表
栏目导航->EDA技术
  • 电子应用基础
  • 电源技术
  • 无线传输技术
  • 信号处理
  • PCB设计
  • EDA技术
  • 单片机学习
  • 电子工具设备
  • 技术文章
  • 精彩拆解欣赏
  • 推荐资讯
    使用普通运放的仪表放大器
    使用普通运放的仪表放
    3V与5V混合系统中逻辑器接口问题
    3V与5V混合系统中逻辑
    数字PID控制及其改进算法的应用
    数字PID控制及其改进
    恶劣环境下的高性价比AD信号处理数据采集系统
    恶劣环境下的高性价比
    栏目更新
    栏目热门